Writing /fs1/eecg/moshovos/a/a3/moshovos/public_www/ACA08/wiki/data/cache/e/e090ae6782eef91acabcb560c2b19222.i failed
Unable to save cache file. Hint: disk full; file permissions; safe_mode setting.
Writing /fs1/eecg/moshovos/a/a3/moshovos/public_www/ACA08/wiki/data/cache/e/e090ae6782eef91acabcb560c2b19222.i failed
Unable to save cache file. Hint: disk full; file permissions; safe_mode setting.
Writing /fs1/eecg/moshovos/a/a3/moshovos/public_www/ACA08/wiki/data/cache/e/e090ae6782eef91acabcb560c2b19222.xhtml failed

September 4:

Introductions, assignments for the first two weeks of the course

September 9:

No meeting

September 16:

Introduction/review of Superscalar and Out-of-Order Execution.

September 18:

Visit by Marc Tremblay of Sun. Please attend his lecture which is part of the Distinguished Lecture Series.

September 23:

Scheduling and Other Challenges in Modern Processor design
  1. Complexity Effective Superscalar Processors, S. Parlacharla, N. Jouppi and J. E. Smith, Proceedings of the Annual International Symposium on Computer Architecture, 1997.
  1. Cyclone: a broadcast-free dynamic instruction scheduler with selective replay, Dan Ernst, Andrew Hamel, Todd M. Austin, Proceedings of the Annual International Symposium on Computer Architecture, 2003.

September 30:

Front-End Challenges / Branch Prediction
  1. Dynamic history-length fitting: A third level of adaptivity for branch prediction, Toni Juan, Sanji Sanjeevan, Juan J. Navarro, Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998.
  1. A Scalable Front-End Architecture for Fast Instruction Delivery, Glenn Reinman, Todd Austin, and Brad Calder, ACM/IEEE 26th Annual International Symposium on Computer Architecture (ISCA-26), May 1999.

October 7:

Load/Store Scheduling
  1. Memory Dependence Prediction Using Store Sets, G. Chrysos and J. Emer, Proceedings of the Annual International Symposium on Computer Architecture, 1998.
  1. Scalable Hardware Memory Disambiguation for High ILP Processors, S. Sethumadhavan, R. Desikan, and D. Burger, In the Proceedings of the Annual ACM/IEEE International Symposium on Microarchitecture, 2003.

October 14:

No meeting

October 21:

Processor Core Optimizations
  1. Focusing Processor Policies via Critical-Path Prediction, B. Fields, S. Rubin and R. Bodik, Proceedings of the Annual International Symposium on Computer Architecture, 2001.
  • Presenter: Vincent Mirian, Questionnaire, Slides.
  1. rePLay: A Hardware Framework for Dynamic Optimization, S. J. Patel, S. S. Lumetta, IEEE Transactions on Computers, June 2001.
  • Presenter: Alex Rodionov, Questionnaire, Slides..

October 28 -> 31:

Alternatives to Large Instruction Window Processors
  1. Runahead Execution: An Alternative to Very Large Instruction Windows for Out-of-order Processors, Onur Mutlu, Jared Stark, Chris Wilkerson, Yale N. Patt, Proceedings of the Annual International Conference on High-Performance Computer Architecture, 2003.
  1. Continual Flow Pipelines, Srikanth T. Srinivasan Ravi Rajwar Haitham Akkary Amit Gandhi Mike Upton, Proceedings of the ACM Symposium on Architectural Support for Operating Systems and Programming Languages, 2004.

November 4:

Cache Replacement Policies
  1. Runahead A fully associative software-managed cache design, Erik G. Hallnor, Steven K. Reinhardt, Proceedings of the Annual International Conference on Computer Architecture, 2000.
  1. The V-Way Cache: Demand Based Associativity vi5 Global Replacement, Moinuddin K. Qureshi, David Thompson, and Yale N. Patt, Proceedings of the Annual International Conference on Computer Architecture, 2000.

November 11:

No meeting

November 18:

Speculative Execution Models and Reliability
  1. Multiscalar Processors, Gurindar Sohi, S. Breach, T. N. Vijaykumar, Proceedings of the Annual International Conference on Computer Architecture, 1995.
  1. DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design, Todd Austin, Proceedings of the Annual International Symposium on Microarchitecture, 2000.

November 25:

Speculative Execution Models and Reliability #2
  1. Techniques to Reduce the Soft Errors Rate in a High-Performance Microprocessor, Christopher Weaver, Joel Emer, Shubhendu S. Mukherjee, and Steven K. Reinhardt, Proceedings of the Annual International Conference on Computer Architecture, 2004.
  1. Slipstream Processors: Improving both Performance and Fault Tolerance, Karthik Sundaramoorthy, Zach Purser, Eric Rotenberg, Proceedings of the Annual International Symposium on Architectural Support for Programming Languages and Operating Systems, 2000.

December 2:

Reliability #3
  1. Lifetime Reliability: Toward an Architectural Solution., Jayanth Srinivasan, Sarita V. Adve, Pradip Bose, Jude A. Rivers, IEEE Micro 25(3): 70-80 (2005).
  1. Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation, Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Toan Pham, Rajeev Rao, Conrad Ziesler, David Blaauw, Todd Austin, Trevor Mudge, and KrisztiƔn Flautner, , 36th Annual International Symposium on Microarchitecture (MICRO-36), December 2003.

Week of December 8:

 
reading_schedule.txt · Last modified: 2008/11/24 16:06 by instructor
 
Recent changes RSS feed Creative Commons License Donate Powered by PHP Valid XHTML 1.0 Valid CSS Driven by DokuWiki